2022年a股的上市公司,上市公司历史沿革怎么查

  

  (报告制作人/作者:熊俊国联证券)   

  

  1.1.1 历史沿革:专注薄膜沉积设备,已成为国内龙头,国内领先的薄膜沉积设备   

  

  聚焦薄膜沉积设备,多次作为国家重大项目。拓晶科技自成立以来,一直专注于半导体薄膜沉积设备的研发、生产、销售和技术服务。2010年,沈阳拓景科技有限公司成立。2011年,作为国家重大成就的12英寸PECVD交付SMIC进行验证。2016年“十一五”国家重大项目通过验收,首台12寸ALD交付客户;2019年SACVD研制成功,交付客户端;2020年,“十三五”国家重大项目通过验收。2021年公司由有限责任公司变更为股份有限公司,2022年拓景科技在科技创新板上市。   

  

  主要产品为三大系列,下游客户为国内一线晶圆厂。目前,公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和亚大气压化学气相沉积(SACVD)设备三大产品系列,拥有自主知识产权。技术指标达到了同类产品的国际先进水平。产品主要应用于集成电路晶圆制造,以及TSV封装、光波导、微型LED、有机发光二极管显示等高端技术领域。我们的产品已广泛应用于SMIC、华虹集团、长江存储、长信存储、厦大连欣、延东微电子等国内主流晶圆厂,打破了国际厂商对国内市场的垄断,直接与国际寡头竞争。   

  

     

  

  1.2 股权结构:股权激励覆盖面广   

  

  公司无控股股东、实际控制人。国家集成电路基金持有拓晶科技19.86%的股权,为本公司第一大股东,其余持股5%以上的股东为SDIC上海、中威公司和嘉兴李俊。公司无实际控制人。创始人蒋谦及其一致行动人持股11.39%,不能通过投资关系、协议或其他安排控制董事会。公司位于沈阳,主要从事设备研发、生产和销售;公司在上海和北京设立了子公司和分公司,吸引人才。此外,公司新设子公司拓晶关键分公司,主要从事半导体晶圆键合设备、背面研磨设备、测试设备等集成电路系列新兴技术和装备产品的研发、生产和销售。   

  

  激励政策覆盖面广,R & ampd、管理人员可以持股。公司拥有沈阳盛腾、沈阳王声等11个员工持股平台,总股权9.08%,覆盖员工范围广泛。公司的主要技术研发;d人员和核心管理人员可以通过ESOP平台持有公司股份。目前,卢光权、蒋谦等核心技术人员及公司高管通过员工持股平台间接持有发行人的激励股份。   

  

  1.3 财务分析:营收大幅提升,盈利能力逐渐改善   

  

  我们选取了国内同行业可比公司北方华创、中威公司、新威远、梅生上海的平均财务数据作为同行业平均水平进行比较。营业收入快速增长,亏损逐渐减少。2018-2021年,公司PECVD设备客户端验证通过,营业收入从0.71亿元增长至7.58亿元,年复合增长率120.56%。2018年至2020年,公司扣非后归母净利润亏损逐步减少,由亏损1.5亿元降至0.57亿元;2021年,公司投入了高额的研发费用;d支出,其亏损微增至-8200万元。2022Q1,公司实现营业收入1.08亿元,同比增长86.21%;扣非后归母净利润为-2200万元。   

  

     

  

  从产品来看,PECVD设备是主要收入来源,增长较快,而ALD和SACVD设备收入波动较大。2018年至2021年,公司PECVD设备收入从0.52亿元增长至6.75亿元,占比73.19%至89.11%。2019年,公司SACVD设备研制成功;在2020年和2021年   

  

  毛利率和净利润率由负转正,盈利能力大幅提升。公司历史数据显示,公司毛利率和净利率均有显著提升:2018-2021年毛利率分别为31.67%、31.85%、34.06%和44.01%,同期净利率分别为-146.12%、-7.71%、-2.69%和8.83%。同比来看,公司毛利率从低于同业到逐步追赶同业,公司净利润率大幅提升,逐步接近行业平均水平。   

  

  从费用端看,公司三费费用率大幅下降,接近行业平均水平。2018年至2021年,三费率从84.36%降至16.12%,逐步接近行业平均水平,公司成本控制能力逐步增强。   

  

     

>

  

2. CVD 行业:设备种类多样,国产替代潜力大2.1.技术迭代:前道工艺核心设备,技术催生种类多样化

  

薄膜沉积是芯片制造工艺的核心工艺环节,薄膜沉积设备是半导体制造前道工 艺中的三大核心设备之一。半导体制造工艺可以简化为加材料、图形化和减材料三大 步骤,而芯片成品需要经过数百次三大步骤的循环。加材料主要通过薄膜沉积、扩散、 离子注入等工艺,图形化主要通过光刻、涂胶显影工艺,而减材料主要通过刻蚀工艺。 薄膜沉积设备、光刻设备、刻蚀设备分别是完成三大步骤的主要设备,同时也是半导 体制造工艺的三大核心设备。薄膜沉积设备作为功能性材料薄膜沉积的生产设备,对芯片制造环节具有非常重要的地位。

  

薄膜沉积设备主要包括 CVD 设备、PVD 设备和 ALD 设备。薄膜沉积是指在硅片衬 底上沉积一层待处理的薄膜材料,所沉积的薄膜材料可以是二氧化硅、氮化硅、多晶 硅等非金属以及铜等金属。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的 沉积,包括 CVD(化学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和 ALD (原子层沉积)设备。其中,CVD 设备主要用于沉积二氧化硅、氮化硅、多晶硅等薄 膜,PVD 设备主要用于沉积金属薄膜,而 ALD 设备则是 CVD 设备的工艺延伸,提升了 CVD 设备填沟能力。

  

  


  

介质层薄膜用量逐渐增多,CVD 设备是薄膜沉积设备的主要市场。半导体前道制造工艺包括前段工艺、后段工艺以及连接前段和后段工艺的中段工艺,前段工艺包括 薄膜沉积、光刻、刻蚀等,后段工艺包括多层金属线布线(金属化)等。随着芯片制 程不断缩小,前段工艺越复杂,后段工艺重复次数越多,金属层也会不断增加,金属 层间介质层(IMD)薄膜沉积用量也会随着增多。通常介质层主要成分是氧化硅等材 料,CVD 设备是制造氧化硅薄膜的主要设备,2020 年 CVD 市场销售额占全球半导体设 备市场比重达到 16%,远超占比 6%的 PVD 设备市场销售额。

  

随着薄膜沉积工艺的不断发展,制造商对于薄膜沉积设备的性能要求更高,薄膜 沉积的种类也不断增加,CVD 相继演化出了 APCVD、LPCVD、PECVD 等,其性能不断得 到改善,可应用于不同的领域。PVD 可分为蒸发镀膜、溅射镀膜和离子镀,发展初期 以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是难以蒸发某些 金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更 高,溅射设备取代了蒸镀设备。

  

2.2.市场规模:短期设备周期上行,中长期国产替代推动

  

中国大陆成为全球最大半导体设备市场,2021 年市场规模接近 300 亿美元。根 据 SEMI 数据,2021 年全球半导体设备销售额为 1026 亿美元,同比增长 44%,创 历史新高。2018 年以前中国大陆半导体设备市场占全球比重在 10-20%之间,2018 年以后保持在 20%以上,份额逐年提升。2020、2021 年国内晶圆厂大幅扩产,对设 备需求提升较大。2021 年中国大陆设备市场规模达到 296.2 亿美元,同比增长 58%; 同时中国大陆设备市场规模首次排全球第一,占比为 28.9%。

  

  


  

预计 2025 年全球半导体薄膜沉积设备市场规模将达到 340 亿美元。根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜沉积设备市场 规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大至约 172 亿美元, 3 年 CAGR 为 11.2%。预计全球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340 亿美元,5 年 CAGR 为 14.6%。 PECVD 设备市场占比最大。PECVD 是薄膜设备中占比最高的设备类型,占整 体薄膜沉积设备市场的 33%;ALD 设备目前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。在整个薄膜沉 积设备市场,属于 PVD 的溅射 PVD 和电镀 ECD 合计占有整体市场的 23%。

  

不同于国际设备市场的周期性,我国半导体设备供应商将长期呈现高成长性。 短期来看,国内中芯京城、中芯东方、中芯深圳、华虹无锡等逻辑晶圆厂,长鑫、长 存等存储晶圆厂新建或扩充产能,2-3 年国内设备需求量将继续维持高速增长。长期 来看国产替代率较低,随着国产替代进程加速,国产设备销售额有望持续扩大。 晶圆厂大幅扩产,短期内设备厂实现高增速。随着 5G、物联网、汽车电子需求 的快速增长,晶圆厂纷纷扩产以满足日益增长的芯片需求。近两年,中芯国际、华虹 半导体、粤芯半导体、厦门联芯、晶合集成、积塔半导体等晶圆厂相继宣布了扩产计 划,规划产能(125.33 万片/月)将达到现有产能(37.6 万片/月)三倍以上,增幅较 大。

  

国产替代率低,长期依旧具备高成长性。根据中国电子专用设备工业协会数据统 计,2020 年国产半导体设备销售额约为 213 亿美元,自给率约为 17.5%。如仅考虑 集成电路设备,国内自给率仅有 5%左右,技术含量最高的集成电路前道设备则自给 率更低,薄膜沉积设备国产化率仅为 2%。长期来看,我国半导体设备渗透率成长空 间较大,在政策、资本、企业等共同努力下,国产设备市场有望长期维持高景气。(报告来源:未来智库)

  

  


  

2.3.竞争格局:海外垄断,国内崛起

  

从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基 本由应用材料(AMAT)、先晶半导体 ASM、泛林半导体(LAM)、东京电子(TEL) 等国际巨头垄断。2019 年,ALD 设备龙头 TEL 和 ASM 分别占据了 31%和 29%的 市场份额,剩下 40%的份额由其他厂商占据;而 AMAT 则基本垄断了 PVD 市场,占 85%的比重,处于绝对龙头地位;在 CVD 市场中,AMAT 全球占比约为 30%,连同 LAM 的 21%和 TEL 的 19%,三大厂商占据了全球 70%的市场份额。

  

应用材料(AMAT)

  

应用材料是全球最大的半导体装备供应商,在薄膜沉积设备行业,公司拥有业界 最为全面的沉积技术,ALD、CVD、PVD、ECD、外延生长等设备类型公司均有供应,可 以对薄膜特性进行定制调整。公司的 CVD 设备品类覆盖齐全,应用广泛,典型应用包括浅沟槽隔离层、金属前电介质层、金属层间电介质层和钝化保护层。公司的 ALD 系统可在晶圆上面沉积各类氧化物、金属氮化物和金属物,每次沉积单层厚度的几分 之一,以在先进晶体管、存储器件和互连应用中制造超薄薄膜层。

  

泛林半导体(LAM)

  

泛林半导体成立于 1980 年,为全球第三大半导体装备供应商,公司向世界半导 体产业提供晶圆制造设备和服务,是全球刻蚀和薄膜沉积龙头。在薄膜沉积设备方面, 公司主要专注于 CVD 设备,具有 ALD、CVD、PECVD、ECD、HDP-CVD 等沉积技术,在 PECVD、ALD、ECD 方面均具有较强的竞争优势,公司还提供专门的沉积后处理。

  

先晶半导体(ASM)

  

先晶半导体成立于 1968 年,是一家荷兰晶圆制造半导体工艺设备的供应商,公 司产品涵盖了晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。 公司从 1970 年开始致力于制造与销售 CVD 设备,近年来,公司将原子层沉积(ALD) 和等离子体增强原子层沉积(PEALD)引入先进制造商的主流生产。公司一直专注于 薄膜沉积设备,主要技术是 ALD 与 EPI。

  

东京电子(TEL)

  

东京电子成立于 1963 年,是日本最大的半导体制造设备提供商,主要从事半导 体生产设备和平板显示器制造设备的工业电子产品的开发、制造和销售。在薄膜沉积 设备领域,公司拥有 PVD、CVD、ALD 设备。公司的 CVD 设备产品线较为丰富,拥有 PECVD、LPCVD 等设备。

  

  


  

国内企业错位布局,替代进程逐步加速。在国内的薄膜沉积设备行业,主要有拓 荆科技、北方华创、中微公司、盛美上海、微导纳米推动设备国产化进程。上市公司 中,中微公司、北方华创、拓荆科技产品的应用领域、技术原理不存在重叠和替代关 系,拓荆科技 PECVD 设备、SACVD 设备、PE ALD 设备国内领先,北方华创在 PVD、APCVD、 LPCVD、Thermal ALD 领域处于国内领先地位,中微公司 MOCVD 设备在国际都处于领先 地位。

  

3. 核心竞争力:研发实力强劲,产品终端认可3.1.PECVD 设备:国内唯一产业化,在手订单充足

  

PECVD 设备是公司的核心产品,拓荆科技是国内唯一一家产业化应用的集成电 路 PECVD 设备厂商。公司的 PECVD 设备已配适 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、 PSG、TEOS、LokⅠ、LokⅡ、ACHM、ADCⅠ等多种反应材料。

  

设备总体性能、关键性能参数已达到国际同类设备水平。根据北方集成电路技 术创新中心(北京)有限公司出具的《关于拓荆科技股份有限公司核心技术水平的评 估》,公司主研发并产业化应用的等离子体增强化学气相沉积(PECVD)设备、原子层 沉积(ALD)设备、次常压化学气相沉积(SACVD)设备,其核心技术具有突出的技术 先进性,其总体性能和关键性能参数已达到国际同类设备水平。

  

存货、合同负债大幅增加,反映公司在手订单充足。2021 年公司存货金额为 9.53 亿元,2022 年第一季度公司存货金额增至 12.94 亿元,存货实现快速增长。同时公司 2022Q1 合同负债为 7.8 亿元,相比 2021 年底增加 2.92 亿元,因为合同负债能够 在某种程度上反映公司在手订单的情况,合同负债的大幅增加表明公司在手订单数量 实现大幅增长。

  

  


  

3.2.ALD、SACVD 验证进展顺利,已有首台套确认收入

  

ALD 设备在国内处于领先地位。公司的等离子体增强原子层沉积设备(PE-ALD) 已适配 55-14nm 逻辑芯片制造工艺需求。目前公司正在研发 Thermal ALD 设备,以满 足 28nm 以下芯片制造所需的 Al2O3、AlN 等金属化合物薄膜的工艺需要。

  

公司为国内唯一一家产业化应用的集成电路 SACVD 设备厂商。拓荆科技的SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。

  

成功通过下游验证,并确认收入。公司于 2018 年通过了 ICRD 的产线验证销售 了一台 ALD 设备,2020 年通过了燕东微电子的产线验证销售了一台 SACVD 设备, 验证周期均在 16 个月左右。

  

根据公司 2021 业绩报告及公司公告,公司至少有合计 8 台 ALD、SACVD 产品 处于产线验证中,预计将在 2022-2023 年确认收入。其中,发往北方创新的 2 台 SACVD 设备分别为 BPSG 工艺和 SAF 工艺 SA-300T 首台套产品,发往长江存储的 ALD 设备为 FT-300H 首台套产品;发往武汉新芯、北方创新、上海积塔和中芯绍兴 的 5 台 ALD、SACVD 设备为成熟产品销售验证。

  

  


  

3.3.重视研发创新,持续高研发投入

  

核心技术人员产业经验丰富,团队薄膜沉积设备研发实力强劲。公司共有 7 名 核心技术人员,分别是姜谦、吕光泉、田晓明、张孝勇、周坚、叶五毛、宁建平。其 中,吕光泉、姜谦、张孝勇、叶五毛均具有诺发等 ALD 设备国际大厂任职经历,产业 经历丰富;田晓明、周坚、宁建平等人具有数十年以上的半导体产业背景。

  

坚持自主研发,研发投入持续高于同行。从 2018-2021 年,公司的研发费用分别为 1.08、0.74、1.23、2.88 亿元,研发费用率分别为 152.84%、29.58%、28.19%、 38.04%。同期行业研发费用率分别为 12.13%、13.63%、13.35%、13.63%,公司研发 费用率一直高于同行 10 个百分点以上。

  

目前公司已拥有 8 项核心技术,且均达到国际先进水平,并应用于薄膜沉积设 备。除反应模块架构布局技术和半导体制造系统高产能平台技术中的 3 项发明专利来 源于核心技术人员出资外,其余核心技术均为公司自主研发。

  

公司目前在研项目主要方向是原有设备领域的技术升级或工艺拓展,包括了 PECVD、ALD、SACVD 三大设备,研发目标均为达到国际同类设备水平。在 PECVD 设备 研发上,公司现阶段主要攻克 28nm 以下低介电常数薄膜和硬掩膜等先进薄膜系列产 品、28-14nm、10nm 以下通用介质薄膜系列产品等集成电路半导体 PECVD 设备,同时 团队开始研发 HDPCVD 设备;此外公司还布局了先进封装、LED 薄膜沉积设备,并进 入产业化验证阶段。在 ALD 设备研发上,公司目前有两大研发项目正在推进,分别是 满足 128 层 3D NAND 存储芯片制造的 ALD 设备、满足 28nm 及以下的 Thermal ALD AlOx 设备及工艺研发。在 SACVD 设备研发上,公司正在研制实现深宽比大于 5:1 的 28nm 及以下薄膜沉积设备。

  

  


  

主要设备均向国内一线客户出货,实现部分国产替代。公司采取差异化的经营 策略,可以根据客户的需求进行定制化设计及生产制造。对于国内企业而言,拓荆科 技可以提供更加快捷的技术支持,及时保障和满足客户的需求。目前拓荆科技的产品 已应用于中芯国际、华虹集团、长江存储等大型集成电路芯片制造企业。(报告来源:未来智库)

  

3.4.募投项目:持续扩大产能,提升技术水平

  

2022 年 4 月公司 IPO 上市,所募集的资金扣除发行费用后拟投入高端半导体设 备扩产项目、先进半导体设备的技术研发与改进项目、ALD 设备研发与产业化项目和 补充流动资金。募投项目围绕扩产与技术研发创新,有利于公司扩大生产规模与提 高核心技术,从而不断适应市场需求。

  

高端半导体设备扩产项目

  

高端半导体设备扩产项目预计投资额 0.80 亿元,建设期 2 年。本项目是公司“半 导体薄膜设备产业化基地(一期)项目”的扩产项目,一期项目为年产 100 台套设备, 全部投产可以达到 350 台套,为公司产品出货提供了保障。

  

先进半导体设备的技术研发与改进项目

  

先进半导体设备的技术研发与改进项目预计投资额 3.99 亿元,建设期 3 年,研 发内容主要包括面向 28nm-10nm 制程 PECVD 设备的多种工艺型号开发、面向 10nm 以 下制程 PECVD 设备的平台架构研发及 UV Cure 系统设备研发。

  

ALD 设备研发与产业化项目

  

ALD 设备研发与产业化项目投资额 2.71 亿元,建设期 3 年,该项目将建设 ALD 产业化基地,开发面向 28nm-10nm 制程的 ALD 设备平台架构。

  

4. 盈利预测公司营收主要由三大产品系列组成,分别是 PECVD 设备、ALD 设备、SACVD 设备。我们预计 2022-2024 年公司主要营收来源仍然来自以上三大产品系列,公司 产品收入增长主要是晶圆厂产能增长驱动的,所以接下来的营收预测主要基于对国内 晶圆厂扩产情况进行分析。

  

PECVD 设备:占比公司收入 80%以上。

  

通常晶圆厂产能投产时点与设备厂商确认收入时点节奏大致相同,设备行业增速 与产能扩张增速大致相当,故我们用国内晶圆厂产能扩张增速加上超出行业部分增速 来表示公司 PECVD 设备收入的增速。 我们对国内主要 12 寸逻辑晶圆厂、存储晶圆厂进行了统计预测,合计产能规划 达到 197.33 万片/月。2021 年,国内主要 12 寸晶圆厂产能约为 58.1 万片/月, 2022-2024 年将新增 25.4、22.0、26.8 万片/月的产能,增速达到 43.82%、26.35%、 25.40%。此外,我们给予公司 2022-2024 年超出行业增速分别为 30%、20%、10%, 则公司 PECVD 设备收入增速达到 73.82%、46.35%、35.40%,收入分别为 11.73亿元、17.17 亿元、23.25 亿元。 2018-2021 年公司毛利率分别为 29.25%、32%、35.49%、42.67%,随着公司 产品结构逐步高端化、产能利用率逐步提升,毛利率逐渐提升,预计 2022-2024 年 毛利率为 43%、44%、45%。

  

ALD 设备:公司研发大力投入方向,营收增长取决于客户验证进度。

  

公司 ALD 设备已经进入 ICRD,随着客户验证进度、募投项目进展顺利,假设 2022-2024 年公司 ALD 设备营业收入增速分别为 100%、50%、50%,毛利率稳定 在 44.19%。

  

SACVD 设备:营收主要来自机台客户验证机台的收入确认。

  

随着机台验证进展顺利,收入有望快速增长,假设 2022-2024 年公司 SACVD 设备营业收入增速分别为 100%、50%、30%,考虑到公司是国内唯一 SACVD 设备 厂商,毛利率将维持在 60%左右。

  

  


  

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

  

精选报告来源:【未来智库】。未来智库 - 官方网站

相关文章